From 7ba8f18590559cde3632a24dcfdaf6c2f5dfb31e Mon Sep 17 00:00:00 2001 From: Justin Yun Date: Fri, 28 Jun 2019 16:17:26 +0900 Subject: Rename product_services to system_ext Bug: 134359158 Test: build and boot Change-Id: I2ea21a0e528bdbab7d2479b48c73e7f2274ecca5 --- updater/build_info.cpp | 2 +- updater/target_files.cpp | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/updater/build_info.cpp b/updater/build_info.cpp index 8e87bd3e5..3072aab54 100644 --- a/updater/build_info.cpp +++ b/updater/build_info.cpp @@ -79,7 +79,7 @@ std::string BuildInfo::GetProperty(const std::string_view key, "ro.product.name" }; const std::vector source_order = { - "product", "product_services", "odm", "vendor", "system", + "product", "odm", "vendor", "system_ext", "system", }; if (ro_product_props.find(key) != ro_product_props.end()) { std::string_view key_suffix(key); diff --git a/updater/target_files.cpp b/updater/target_files.cpp index 93540b2e5..1581b25a0 100644 --- a/updater/target_files.cpp +++ b/updater/target_files.cpp @@ -193,10 +193,10 @@ bool TargetFile::GetBuildProps(std::map>* "SYSTEM/build.prop", "VENDOR/build.prop", "PRODUCT/build.prop", - "PRODUCT_SERVICES/build.prop", + "SYSTEM_EXT/build.prop", "SYSTEM/vendor/build.prop", "SYSTEM/product/build.prop", - "SYSTEM/product_services/build.prop", + "SYSTEM/ext/build.prop", "ODM/build.prop", // legacy "ODM/etc/build.prop", "VENDOR/odm/build.prop", // legacy -- cgit v1.2.3