From 9cbe9c1ccb2381e37402a36297f11a0f96b1b557 Mon Sep 17 00:00:00 2001 From: Heiner Lohaus Date: Sun, 21 Jan 2024 02:20:23 +0100 Subject: Improve tests --- etc/unittest/__main__.py | 6 ++++++ 1 file changed, 6 insertions(+) create mode 100644 etc/unittest/__main__.py (limited to 'etc/unittest/__main__.py') diff --git a/etc/unittest/__main__.py b/etc/unittest/__main__.py new file mode 100644 index 00000000..243c56b2 --- /dev/null +++ b/etc/unittest/__main__.py @@ -0,0 +1,6 @@ +import unittest +from .asyncio import * +from .backend import * +from .main import * + +unittest.main() \ No newline at end of file -- cgit v1.2.3