summaryrefslogtreecommitdiffstats
path: root/src/BlockEntities/SignEntity.h
diff options
context:
space:
mode:
authorMattes D <github@xoft.cz>2015-07-31 16:49:10 +0200
committerMattes D <github@xoft.cz>2015-07-31 16:49:10 +0200
commit6e4122e551eeb41d3e950b363dd837d5586fe560 (patch)
treeb5ee221d8a8e63c7d3b7868da1db19bf717a6ffd /src/BlockEntities/SignEntity.h
parentMerge pull request #2400 from cuberite/OffloadBadChunks (diff)
downloadcuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar.gz
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar.bz2
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar.lz
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar.xz
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.tar.zst
cuberite-6e4122e551eeb41d3e950b363dd837d5586fe560.zip
Diffstat (limited to 'src/BlockEntities/SignEntity.h')
-rw-r--r--src/BlockEntities/SignEntity.h8
1 files changed, 4 insertions, 4 deletions
diff --git a/src/BlockEntities/SignEntity.h b/src/BlockEntities/SignEntity.h
index 9480537ed..373f5e294 100644
--- a/src/BlockEntities/SignEntity.h
+++ b/src/BlockEntities/SignEntity.h
@@ -27,18 +27,18 @@ public:
BLOCKENTITY_PROTODEF(cSignEntity)
- /// Creates a new empty sign entity at the specified block coords and block type (wall or standing). a_World may be nullptr
+ /** Creates a new empty sign entity at the specified block coords and block type (wall or standing). a_World may be nullptr */
cSignEntity(BLOCKTYPE a_BlockType, int a_X, int a_Y, int a_Z, cWorld * a_World);
// tolua_begin
- /// Sets all the sign's lines
+ /** Sets all the sign's lines */
void SetLines(const AString & a_Line1, const AString & a_Line2, const AString & a_Line3, const AString & a_Line4);
- /// Sets individual line (zero-based index)
+ /** Sets individual line (zero-based index) */
void SetLine(int a_Index, const AString & a_Line);
- /// Retrieves individual line (zero-based index)
+ /** Retrieves individual line (zero-based index) */
AString GetLine(int a_Index) const;
// tolua_end