summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorWolfgang (Wolle) Ewald <wolfgang.ewald@wolles-elektronikkiste.de>2021-01-06 16:03:36 +0100
committerGitHub <noreply@github.com>2021-01-06 16:03:36 +0100
commit65fcb843cca579313b256aeaba4ecd30a98d1484 (patch)
tree1bf73162392136cb3f8a95b03102d2af84eddd95
parentUpdate Single_Shot.ino (diff)
downloadADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar.gz
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar.bz2
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar.lz
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar.xz
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.tar.zst
ADS1115_WE-65fcb843cca579313b256aeaba4ecd30a98d1484.zip
-rw-r--r--examples/Single_Shot_Conv_Ready_Alert_Controlled/Single_Shot_Conv_Ready_Alert_Controlled.ino27
1 files changed, 14 insertions, 13 deletions
diff --git a/examples/Single_Shot_Conv_Ready_Alert_Controlled/Single_Shot_Conv_Ready_Alert_Controlled.ino b/examples/Single_Shot_Conv_Ready_Alert_Controlled/Single_Shot_Conv_Ready_Alert_Controlled.ino
index 5822f01..0f53046 100644
--- a/examples/Single_Shot_Conv_Ready_Alert_Controlled/Single_Shot_Conv_Ready_Alert_Controlled.ino
+++ b/examples/Single_Shot_Conv_Ready_Alert_Controlled/Single_Shot_Conv_Ready_Alert_Controlled.ino
@@ -6,7 +6,8 @@
* parameter except ADS1115_DISABLE_ALERT.
*
* Further information can be found on:
-* https://wolles-elektronikkiste.de/ads1115
+* https://wolles-elektronikkiste.de/ads1115 (German)
+* https://wolles-elektronikkiste.de/en/ads1115-a-d-converter-with-amplifier (English)
*
***************************************************************************/
@@ -52,7 +53,7 @@ void setup() {
*/
adc.setCompareChannels(ADS1115_COMP_0_GND); //comment line/change parameter to change channels
- /* Set number of conversions out of limit after which the alert pin will be active
+ /* Set number of conversions out of limit after which the alert pin will assert
* - or you can disable the alert (including conversion ready alert)
*
* ADS1115_ASSERT_AFTER_1 -> after 1 conversion
@@ -83,11 +84,11 @@ void setup() {
*/
//adc.setMeasureMode(ADS1115_CONTINUOUS); // continuous mode does not work with conversion ready
- /* Choose maximum limit or maximum and minimum alert limit (window)in Volt - alert pin will
- * be active when measured values are beyond the maximum limit or outside the window
+ /* Choose maximum limit or maximum and minimum alert limit (window) in volts - alert pin will
+ * assert when measured values are beyond the maximum limit or outside the window
* Upper limit first: setAlertLimit_V(MODE, maximum, minimum)
- * In max limit mode the minimum value is the limit where the alert pin will be deactivated (if
- * not latched)
+ * In max limit mode the minimum value is the limit where the alert pin assertion will be cleared
+ * (if not latched)
*
* ADS1115_MAX_LIMIT
* ADS1115_WINDOW
@@ -95,9 +96,9 @@ void setup() {
*/
//adc.setAlertModeAndLimit_V(ADS1115_MAX_LIMIT, 3.0, 1.5); //uncomment if you want to change the default
- /* Enable or disable latch. If latch is enabled the alarm pin will be active until the
- * conversion register is read (getResult functions). If disabled the alarm pin will be
- * deactivated with next value within limits.
+ /* Enable or disable latch. If latch is enabled the alert pin will assert until the
+ * conversion register is read (getResult functions). If disabled the alert pin assertion
+ * will be cleared with next value within limits.
*
* ADS1115_LATCH_DISABLED (default)
* ADS1115_LATCH_ENABLED
@@ -106,16 +107,16 @@ void setup() {
/* Sets the alert pin polarity if active:
*
- * Enable or disable latch. If latch is enabled the alarm pin will be active until the
- * conversion register is read (getResult functions). If disabled the alarm pin will be
- * deactivated with next value within limits.
+ * Enable or disable latch. If latch is enabled the alert pin will assert until the
+ * conversion register is read (getResult functions). If disabled the alert pin assertion
+ * will be cleared with next value within limits.
*
* ADS1115_ACT_LOW -> active low (default)
* ADS1115_ACT_HIGH -> active high
*/
//adc.setAlertPol(ADS1115_ACT_LOW); //uncomment if you want to change the default
- /* With this function the alert pin will be active, when a conversion is ready.
+ /* With this function the alert pin assert, when a conversion is ready.
* In order to deactivate, use the setAlertLimit_V function
*/
adc.setAlertPinToConversionReady(); //needed for this sketch