summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorThijs Triemstra <info@collab.nl>2020-07-26 15:15:49 +0200
committerThijs Triemstra <info@collab.nl>2020-07-26 15:15:49 +0200
commit76d1b576b96f7f0ff74f229f29325071f12149f5 (patch)
tree7a049d579e637c5b98d091e26081565a76a495b3
parentadd gitignore (diff)
downloadADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar.gz
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar.bz2
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar.lz
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar.xz
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.tar.zst
ADS1115_WE-76d1b576b96f7f0ff74f229f29325071f12149f5.zip
-rw-r--r--.travis.yml41
1 files changed, 41 insertions, 0 deletions
diff --git a/.travis.yml b/.travis.yml
new file mode 100644
index 0000000..83bd5f8
--- /dev/null
+++ b/.travis.yml
@@ -0,0 +1,41 @@
+# Continuous Integration (CI) is the practice, in software
+# engineering, of merging all developer working copies with a shared mainline
+# several times a day < https://docs.platformio.org/page/ci/index.html >
+#
+# Documentation:
+#
+# * Travis CI Embedded Builds with PlatformIO
+# < https://docs.travis-ci.com/user/integration/platformio/ >
+#
+# * PlatformIO integration with Travis CI
+# < https://docs.platformio.org/page/ci/travis.html >
+#
+# * User Guide for `platformio ci` command
+# < https://docs.platformio.org/page/userguide/cmd_ci.html >
+#
+#
+# Please choose one of the following templates (proposed below) and uncomment
+# it (remove "# " before each line) or use own configuration according to the
+# Travis CI documentation (see above).
+#
+
+language: python
+python:
+ - "2.7"
+
+# Cache PlatformIO packages using Travis CI container-based infrastructure
+sudo: false
+cache:
+ directories:
+ - "~/.platformio"
+ - $HOME/.cache/pip
+
+env:
+ - PLATFORMIO_CI_SRC=examples/Single_Shot/Single_Shot.ino
+
+install:
+ - pip install -U platformio pip setuptools
+ - platformio update
+
+script:
+ - platformio ci --board=esp-wrover-kit